Skip to content

open-af/vhdl-utils

Repository files navigation

VHDLUtils

Its a collection of some helping tools vor editing vhdl code. It copies the entity and pastes it in different formats.

Install the extension. After that press F1 and type one of the following commands.

VHDLUtils copyPort

  • Set cursor within the entity declaration.
  • Run the command. It copies the entity declaration

VHDLUtils pasteComponent

  • Pastes the entity as a component declaration

VHDLUtils pasteInstance

  • Pastes the entity as a instant

VHDLUtils pasteEntity

  • Pastes the entity as a entity

VHDLUtils pasteSignal

  • Pastes the ports (in, out, inout, buffer) as signals

VHDLUtils pasteConstant

  • Pastes the generic definitions as constants